คือมีโค้ด VHDL อยากทราบว่ามันเทียบได้กับ Microprocessor modules ไหน และทำงานอย่างไรครับ
port
input1,input2 : in std_logic_vector(3 downto 0);
control : std_logic_vector(1 downto 0);
output : std_logic_vector(3 downto 0);
architecture
output <= input1+input2 when control = "00" else
input1 or input2 when control = "01" else
input1 and input2 when control = "10" else
input1 xor input2;
หรือมีเว็บให้ศึกษาก็ได้ครับ
VHDL กับ Microprocessor modules
port
input1,input2 : in std_logic_vector(3 downto 0);
control : std_logic_vector(1 downto 0);
output : std_logic_vector(3 downto 0);
architecture
output <= input1+input2 when control = "00" else
input1 or input2 when control = "01" else
input1 and input2 when control = "10" else
input1 xor input2;
หรือมีเว็บให้ศึกษาก็ได้ครับ